aboutsummaryrefslogtreecommitdiff
path: root/doc/user/src/prgm2.skb
blob: 5b5644b9044a16530b0b3559aa7c3bd9a3155e43 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
(frame :width 100. 
       (prog (source :language bigloo :file "prgm.skb" :definition 'fib)))

(p [The Fibonacci function is defined line ,(ref :line "fib").])

;!start
(frame :width 100.
       (prog :line 11 :mark #f
	     (source :language skribe :file "prgm.skb" :start 11 :stop 24)))
;!stop

(p [Here is the source of the frame above:])

(frame :width 100.
       (prog :line 30 :mark #f
	     (source :language skribe :file "src/prgm2.skb"
		:start ";!start"
		:stop ";!stop")))